Смекни!
smekni.com

Методические указания к выполнению лабораторных работ по дисциплин (стр. 3 из 6)

Рис. 11. УГО макроэлемента

2. При помощи графических элементов панели инструментов отредактируйте изображение УГО макроэлемента (рис. 12).

Рис. 12. Отредактированный рисунок УГО макроэлемента

3. Сохраните введенные изменения в УГО макроэлемента.

Чтобы увидеть логическую структуру макроэлемента, необходимо дважды щелкнуть мышью по УГО элемента.

4. КОМПИЛЯЦИЯ ПРОЕКТА

После того как схематическое описание проекта введено в САПР Quartus II оно обрабатывается множеством специализированных инструментов (Tools). Вначале производится синтез проекта для перевода проекта из схематического описания в логические выражения. Затем в процессе, называемом «составление технологической карты» (technology mapping) определяется, как каждое логическое выражение будет представлено на логических вентилях кристалла.

Использование Компилятора (Compiler):

Специализированные инструменты доступные в Quartus II делятся на несколько модулей. Выполните команду Tools->Compiler Tool для просмотра пяти основных модулей (рис. 13).

Рис. 13 Инструмент Compiler Tool

- Модуль «Analysis & Synthesis» (Анализ и Синтез) обеспечивает функцию синтеза в САПР Quartus II. Он производит схему из логических элементов, в которой каждый элемент может быть отображен на требуемый кристалл.

- Модуль «Fitter» (Размещение и Трассировка) определяет конкретное место на кристалле для каждого логического элемента.

- Модуль «Assembler» (Сборщик) генерирует конфигурационные файлы для прошивки ПЛИС.

- Модуль «Timing Analyzer» (Временной Анализатор) позволяет разработчику анализировать работу проектируемой логической цепи после того, как она была синтезирована и оптимизирована компилятором. Разработчик может исследовать все пути прохождения сигналов в проектируемой логической цепи и определить критические задержки в цепях.

- Модуль «EDA Netlist Writer» - подготавливает список сетей для других САПР.

Все эти модули управляются программой-приложением, называемой компилятором. Компиляция предусматривает синтез проекта, т. е. построение базы данных и оценку быстродействия объекта компиляции.

В Quartus II предусмотрено несколько способов компиляции проекта. Доступ ко всем режимам компиляции осуществляется через меню File->Processing->Start (рис.14). Компиляцию можно остановить в любой момент, просто нажав кнопку «Stop Processing» на главной панели инструментов.

Рис. 14 Меню File->Processing->Start

Перед осуществлением компиляции, если еще не выбран тип кристалла, то его необходимо выбрать. Для этого выберем пункт Assignments(Назначения)->Device… В открывшемся окне в строке Family выберем семейство Cyclone, а в окне Available Devices выберем нашу микросхему – EP1C3T144C8. Нажатием кнопки OK подтвердим выбор кристалла.

Существует такое понятие, как объект компиляции «Focus Points». Это такой компонент в иерархии описаний проекта, который будет компилироваться так, как если бы он был компонентом верхнего уровня в иерархии описаний. Файл верхнего уровня в иерархии описания проекта (Top-level entity) является объектом компиляции по умолчанию (рис. 15).

Рис. 15 Пример назначения Focus Points

Для запуска процесса компиляции выберем пункт Start Compilation из меню Processing или нажмем Ctrl+L. Подтвердив сохранение текущего файла, ожидаем окончания процесса компиляции. По окончании компиляции появляется окно Compilation Report с сообщениями о результатах компиляции, количестве ошибок и предупреждений.

Индикация процесса компиляции осуществляется следующим образом (рис. 16):

- опустошаются и переворачиваются песочные часы, что указывает на активность компилятора, процент завершения компиляции постепенно увеличивается до 100% и время, прошедшее с начала компиляции;

- один за другим высвечиваются прямоугольники модулей компилятора, по мере того как компилятор завершает каждый этап обработки;

- при обнаружении в процессе компиляции каких-либо ошибок или возможных проблем автоматически открывается окно обработчика сообщений, в котором отображается сообщения об ошибках и информационные сообщения, а также дается справка по исправлению ошибки. Кроме того, можно определить источники сообщений в файлах проекта или в его редакторе назначений.

Рис 16 Окно Compilation Report

Возможно переключение режима отображения результатов в режим измерительных приборов. Для этого необходимо нажать правую кнопку мыши в поле Summary (рис. 16) и выбрать пункт Gauge Summary (Измерительные Приборы - Итог). Любой датчик можно переключить в режим отображения результатов в процентном соотношении (Percent) (рис. 17).

Рис. 17 Режим Gauge Summary

5. моделирование схемы

Создание временных диаграмм (временное моделирование) в САПР QuartusII (Waveform entry) осуществляется одним из следующих способов:

– .vwf (vector waveform file) – файл редактора временных диаграмм пакета Quartus II

– .vec (vector file) – векторный файл, использовавшийся в пакете MAX+PLUS II, поддерживается для обратной совместимости пакетов

– .tbl (table file) – табуляционный файл, используемый для импортирования временных диаграмм, созданных в пакете MAX+PLUS II (.scf), в пакет Quartus II

– Создание тестовых воздействий на языке Tcl/TK

– Использование систем моделирования сторонних производителей (Modelsim, ActiveHDL и др.)

– Создание тестов на языках Verilog и VHDL.

Перед началом симуляции требуется задать файл временных диаграмм – File->New->Other Files->Vector Waveform File. Откроется окно моделирования (рис. 18).

Рис. 18 Окно моделирования схемы

Сохраните файл под именем top.vwf. Задайте желаемый временной интервал моделирования равным 1 мкс - Edit->End Time и загрузите список входов и выходов схемы, для которых необходимо провести симуляцию. Для этого дважды щелкните в левом свободном поле (рис. 18) или выберем команду Edit->Insert Node or Bus в главном меню. Появляется окно Insert Node or Bus (рис. 19), в котором нажимаем кнопку Node Finder…

Рис. 19 Окно Insert Node Bus

В окне Node Finder в поле Filter (Фильтр) устанавливаем Pins:all (Выводы: все) и нажимаем List (Отобразить) и переводим найденные входы и выходы в выбранные (т. е из левой части в правую). После чего последовательно нажимаем ОК до выхода. Результат приведен на рис. 20.

Рис. 20 Список всех входов и выходов

Выделяя необходимые сигналы на требуемом интервале времени, при помощи панели инструментов (рис. 21), задаем на данном интервале необходимые логические уровни из меню сигналов, расположенного непосредственно над выделяемой областью. Для навигации в окне симуляции удобно пользоваться комбинациями горячих клавиш Ctrl+Shift+Space – для уменьшения масштаба и Ctrl+Space – для увеличения (или удерживая клавишу Ctrl крутить колесико мыши).